CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - AD vhdl

搜索资源列表

  1. caidengkongzhiqi

    0下载:
  2. 一个使用vhdl语言设计的彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式;多种花型可以自动变换,循环往复;彩灯变换的快慢节拍可以选择;具有清零开关。-A lantern controller design using vhdl language, lantern (LED tube) can continuously send more than four different display forms kinds of flowers can automatically tr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:352839
    • 提供者:陈小龙
  1. FEP1C3_12_7_SP

    0下载:
  2. 基于FPGA的信号采集及频谱分析,用VHDL编写,压缩包里是Quartus下的工程。AD采样用状态机实现现,并存入LPM_RAM。设计了一个UART模块(也是状态机实现的),可将数据发到PC机上。 已通过测试。 -FPGA-based signal acquisition and spectrum analysis, using VHDL prepared compression bag Quartus engineering. AD sampling using the state mac
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-07
    • 文件大小:214623
    • 提供者:l2003l
  1. 10bitADS

    0下载:
  2. 此VHDL程序是实现与单片机通讯,实现用单片机8个IO口控制FPGA做AD转换,且AD转换芯片是10bit,作高速AD转换。-This VHDL program is to achieve single-chip communication, control FPGA using a single-chip 8 IO port AD converter AD converter chip is a 10bit, for high-speed AD converter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1301278
    • 提供者:wang xian
  1. AD976_6channel

    0下载:
  2. 软件是适用于FPGA的VHDL程序,目的是用于满足IEC61850-9协议的电子式互感器采样,软件采用的是AD976芯片,能同时进行6个通道的采样。-The software is based on vhdl for FPGA,which is used for electronic transformer fulfil IEC6185-9 protocol.the AD chip is AD976,it works at the state of 6 channels at the same
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:15618
    • 提供者:cjp
  1. src_adc

    0下载:
  2. AD采集与DDC、FIRD等滤波vhdl程序,adc为lvds接口-The AD acquisition, DDC, FIRD etc. filtering procedures, adc lvds interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:80420
    • 提供者:ww
  1. XS3S1000

    0下载:
  2. XILINX公司XC3S1000FGG456下的VHDL工程,主要完成AD采用以及和CPU的数据交换-XC3S1000FGG456 s program example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:2020404
    • 提供者:zyy
  1. adcontrol

    0下载:
  2. 采用VHDL编写的FPGA的AD转换读取逻辑。AD器件为TI ADS7961 -FPGA using VHDL prepared to read the AD conversion logic. AD device is a TI ADS7961
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2682
    • 提供者:raoxixin
  1. 13.Anvyl_PmodAD1_Demo

    1下载:
  2. 用VHDL写的AD程序,使用与xilinx开发板。-Written using VHDL AD process, use and xilinx development board.
  3. 所属分类:OS Develop

    • 发布日期:2017-04-04
    • 文件大小:983766
    • 提供者:li
  1. sbq

    1下载:
  2. 数字示波器VHDL源代码,通过da,ad转换器和fpja开发板实现功能。-Digital oscilloscope VHDL source code, through da, ad converters and fpja development board to achieve functional.
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-03
    • 文件大小:348259
    • 提供者:张益宁
  1. TLC5620

    0下载:
  2. TLC5620串行AD芯片,VHDL驱动,电压采集,数码管显示。-TLC5620 vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:618472
    • 提供者:zhf
  1. ADC

    0下载:
  2. VHDL编写的同步时序逻辑程序,实现AD的数据采集,已经 通过仿真。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1669
    • 提供者:Tom
  1. TLC0834

    0下载:
  2. AD芯片TLC0834的驱动程序,VHDL语言的程序-Don t Care
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:597219
    • 提供者:胡兴亮
  1. SHIYAN

    0下载:
  2. VHDL多个小实验,包括加法器,AD变换,状态机、波形发生器等-VHDL several small experiment includes an adder, AD conversion, the state machine, the waveform generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2253827
    • 提供者:zhangtao
  1. sy2

    0下载:
  2. 用vhdl编写的一个状态机,主要用于将串行AD ltc1196采集的数据转换成并行输出-Use VHDL to write a state machine, mainly used for converting data from the serial AD ltc1196 parallel output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:300200
    • 提供者:辰南
  1. FPGAVHDL

    0下载:
  2. vhdl例程代码大全,包含流水灯,数码管,AD,DA转换等-Guinness vhdl code routines, including water lights, digital, AD, DA conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:4043506
    • 提供者:邹亮亮
  1. ADS7822

    2下载:
  2. 基于VHDL语言的串行AD转换及SignalTap嵌入式逻辑分析仪应用-AD conversion and serial SignalTap embedded logic analyzer application based on VHDL language
  3. 所属分类:assembly language

    • 发布日期:2016-12-08
    • 文件大小:955392
    • 提供者:陈然
  1. CPLD

    0下载:
  2. 基于CPLD的高速AD采样的VHDL程序-Based on CPLD high-speed AD sampling VHDL program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-11
    • 文件大小:1221
    • 提供者:swhole
  1. ads7890

    0下载:
  2. 用VHDL编写的读取AD装换芯片ads7890程序,加了一个LED显示。-Read AD using VHDL chip installed for ads7890 program, plus a LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1864
    • 提供者:贺风
  1. linexp2

    0下载:
  2. AD 和DA之间的转换电路设计,使用VHDL实现编程-AD and DA conversion between circuit design using VHDL implementation programming. . .
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-02
    • 文件大小:663266
    • 提供者:林波
  1. ad_contrl

    0下载:
  2. 本源代码是使用VHDL语言编写的AD转换控制模块的代码,实现了FPGA芯片对AD转换的控制。-Source code is the code using VHDL language AD converter control module to achieve the FPGA chip AD converter control.
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3337
    • 提供者:孙永斌
« 1 2 3 4 5 6 78 »
搜珍网 www.dssz.com